【FPGA/HDL】FPGAでゲーム機をつくろう日記まとめ

投稿日:2021年7月15日
最終更新日:2023年2月10日

Verilogでゲーム機をつくる本を読んでZyboで動かしてみる

以下の本を読みつつ、VerilogでコーディングしてZyboに実装して動かしてみる日記を始めてみた。詳しくは日記の0日目に書いてあるが、RTLを実際にFPGAに組み込んで動かす部分は本ではあまり書かれていないので、Zyboで動かすためにやったことにフォーカスして、やったことを書き残していきたいと思う。

Designing Video Game Hardware in Verilog (English Edition)

日記まとめ

日記はほぼ完了していて、簡単なレースゲームを作るところまでできている。チュートリアルではなく、あくまで日記なので詳細な説明は書いてないが、コードやプロジェクトはGitHubからダウンロードできるようにしている。また、ポイントだけ簡単な解説はしている。

ちなみに以下がレースゲームを動かしてみた結果。

 

この他にもZyboで色々やってます

以下の記事で、Zyboで色々遊んでみた記録を残しています。興味があればぜひ。

【FPGA】ZYBOで遊ぼう日記 – FPGAとARMを融合させたZynqで遊ぶ


投稿者: wakky

映画と旅行が大好きなエンジニア。お酒、ゲーム、読書も好き。

コメントを残す

メールアドレスが公開されることはありません。

このサイトはスパムを低減するために Akismet を使っています。コメントデータの処理方法の詳細はこちらをご覧ください