【FPGA】RTLで実装したレースゲームをZyboのHDMI映像を出力する(FPGA + Verilogでゲーム機をつくろう日記10日目)

【FPGA】RTLで実装したレースゲームをZyboからHDMIで出力する(FPGA + Verilogでゲーム機をつくろう日記10日目)

レースゲームをHDMI出力する 前回までの日記で、ZyboのPLブロックを使ってHDMIでテスト映像を出力するところまで書いた。今回は、いよいよレースゲームをHDMIで出力する。といっても、以前作ってVGAで出力していた […]

【FPGA】ZyboのPLブロックでHDMI映像を出力する(FPGA + Verilogでゲーム機をつくろう日記7日目)

【FPGA】ZyboのPLブロックでHDMI映像を出力する:前編 IPインポートとIOポート追加(FPGA + Verilogでゲーム機をつくろう日記7日目)

ZyboのPLブロックでHDMI出力する これまでの日記で、ZYBOのPLブロックを使ってRTLで作成したレースゲームをVGA出力する過程を書いてきた。ただ、最近のZYBOはVGA端子が付いてないようなので、HDMI出力 […]

【FPGA】Racing GameのサンプルをZybo向けに変更して動かす(FPGA + Verilogでゲーム機をつくろう日記6日目)

【FPGA】Racing GameのサンプルをZybo向けに変更して動かす(FPGA + Verilogでゲーム機をつくろう日記6日目)

いよいよRacing Gameのサンプルを実装してゲームを動かす 以下の本を読みつつ進めているFPGAでゲーム機をつくろう日記。前回はtile graphicsというサンプルを実装して動かしてみた。今回はいよいよゲームを […]

【FPGA】Tile GraphicsのサンプルをZybo向けに変更して動かす(FPGA + Verilogでゲーム機をつくろう日記5日目)

【FPGA】Tile GraphicsのサンプルをZybo向けに変更して動かす(FPGA + Verilogでゲーム機をつくろう日記5日目)

今回はTile Graphicsのサンプルを動かす 以下の本を読みつつ進めているFPGAでゲーム機をつくろう日記。前回はmoving ballというサンプルを実装して動かしてみて、だんだんゲームっぽくなってきた。今回は本 […]

【FPGA】Moving BallのサンプルをZybo向けに変更して動かす(FPGA + Verilogでゲーム機をつくろう日記4日目)

【FPGA】Moving BallのサンプルをZybo向けに変更して動かす(FPGA + Verilogでゲーム機をつくろう日記4日目)

今回はMoving Ballのサンプルを動かす 以下の本を読みつつ進めているFPGAでゲーム機をつくろう日記。前回はVGAで画を出力してみた。前回は動きのない静止画を表示したのだが、今回は本の12章「A Moving B […]

【FPGA】VGAでテストパターンを出力:MMCM作成&ピンアサイン設定編(FPGA + Verilogでゲーム機をつくろう日記3日目)

【FPGA】VGAでテストパターンを出力:MMCM作成&ピンアサイン設定編(FPGA + Verilogでゲーム機をつくろう日記3日目)

いよいよFPGAに実装 以下の本を読みつつ進めているFPGAでゲーム機をつくろう日記。前回はVGAでの映像出力の前編だったの、今回はその続きとして後編を書く。最終的にVGAからテストパターンを出力する。 Designin […]