【FPGA】RTLで実装したレースゲームをZyboのHDMI映像を出力する(FPGA + Verilogでゲーム機をつくろう日記10日目)

【FPGA】RTLで実装したレースゲームをZyboからHDMIで出力する(FPGA + Verilogでゲーム機をつくろう日記10日目)

レースゲームをHDMI出力する 前回までの日記で、ZyboのPLブロックを使ってHDMIでテスト映像を出力するところまで書いた。今回は、いよいよレースゲームをHDMIで出力する。といっても、以前作ってVGAで出力していた […]