【FPGA/HDL】FPGAのPLブロックだけを使ってLチカ日記 1日目:Vivado 2016.2 でZYBOのPLブロック用のプロジェクトを作成

放置してたZYBOで遊んでみる 買ったはいいけど全然使っていなかったZYBO。せっかくなのでブログのネタとしてプロジェクトを作ってLEDとか光らせてみたいと思う。いわゆるLチカ。最終的にはARMのプロセッサを含むブロック […]

【FPGA/HDL】SmartXplorerを閉じているのに「SmartXplorer is already running.」とErrorが出て立ち上がらないときの対応

あまり頻繁に起こるようなことではないが、一応メモ。ISE 14.7でSmartXplorer を何回か繰り返して回していたとき、SmartXplorerはもう終了しているのに、下記のエラーが出て起動しなくなった。 しかし […]

【FPGA/HDL】Vivado2014.4を起動したとき「Error when launching ‘C:\Xilinx\2014.4\bin\vibado.bat’: Launcher time out」と出た

とってもしょーもないことだが、一応メモ。ある日PCを立ち上げ、Vivadoを立ち上げるとなかなか立ち上がらず、以下のエラーが出た。 昨日まで動いていたのでなんだろう・・・と思ったが、な意図せず多重起動してしまっていたよう […]

【FPGA/HDL】マルチプレクサで選択されたクロックに対する入出力データのタイミング制約のかけ方(sdc)

マルチプレクサ(Multiplexer)で選択されたクロックに対し、SDCファイルでTiming制約を入出力信号にかけるときに悩んだのでメモしておく。文章だとわかりづらいと思うので図を描いた。例えば、以下のような状況。 […]