【FPGA】Tile GraphicsのサンプルをZybo向けに変更して動かす(FPGA + Verilogでゲーム機をつくろう日記5日目)

【FPGA】Tile GraphicsのサンプルをZybo向けに変更して動かす(FPGA + Verilogでゲーム機をつくろう日記5日目)

今回はTile Graphicsのサンプルを動かす 以下の本を読みつつ進めているFPGAでゲーム機をつくろう日記。前回はmoving ballというサンプルを実装して動かしてみて、だんだんゲームっぽくなってきた。今回は本 […]